A Survey Addressing on High Performance On-Chip VLSI Interconnect

C. Mohamed Yousuff, V. Mohamed Yousuf Hasan, M. R. Khan Galib

Abstract


With the rapid increase in transmission speeds of communication systems, the demand for very high-speed lowpower VLSI circuits is on the rise. Although the performance of CMOS technologies improves notably with scaling, conventional CMOS circuits cannot simultaneously satisfy the speed and power requirements of these applications. In this paper we survey the state of the art of on-chip interconnect techniques for improving performance, power and delay optimization and also comparative analysis of various techniques for high speed design have been discussed.

Full Text:

PDF

References


C. Ryu, “Microstructure and reliability of copper interconnects,” Ph.D. dissertation, Stanford University, 1998.

“International technology roadmap for semiconductors (ITRS),” 2003.

H. B. Bakoglu, Circuits, Interconnects, and Packaging for VLSI. Reading, MA: Addison-Wesley, 1990.

V. Adler and E. G. Friedman, “Repeater design to reduce delay and power in resistive interconnect,” IEEE Transactions on Circuits SystemsII, Analog Digital Signal Processing, vol. 45, no. 5, pp. 607-616, May 1998.

A. Nalamalpu, S. Srinivasan, and W. P. Burleson, “Boosters for driving long onchip interconnects-Design issues, interconnect synthesis, and comparison with repeaters,” IEEE Transactions on CAD of IntegratedCircuits and Systems, vol. 21, no. 1, pp. 50-62, January 2002.

J. Lillis, C.-K. Cheng, and T.-T. Y. Lin, “Optimal and Efficient Buffer Insertion and Wire Sizing,” IEEE Custom Integrated Circuits Conference, pp. 259-262, 1995.

C. J. Alpert, A. Devgan, and S. T. Quay, “Buffer insertion with accurate gate and interconnect delay computation,” in DAC, June 1999.

C. J. Alpert, J. Hu, S. S. Sapatnekar, and P. G. Villarrubia, “A practical methodology for early buffer and wire resource allocation,” IEEETransactions on CAD, vol. 22, no. 5, May 2003.

M. A. El-Moursy and E. G. Friedman, “Optimum Wire Tapering for Minimum Power Dissipation in RLC Interconnects,” Department of Electrical and Computer Engineering University of Rochester, New York.

E. G. Friedman and M. A. El-Moursy, “Wire shaping of RLC interconnects,” Integration, the VLSI Journal, vol. 40, no. 4, pp. 461-472, July 2007. [Web of Science]

R. Bashirullah, W. Liu, and R. K. Cavin, “Current-mode signaling in deep submicrometer global interconnects,” IEEE Transactions on VeryLarge Scale Integration (VLSI) Systems, vol. 11, no. 3, pp. 406-417, June 2003.

S. Mishra, P. Agnihotry, and B. K. Kaushik Divya Mishra, “Effect of Distributed Shield Insertion on Crosstalk in Inductively Coupled VLSI Interconnects,” Journal of Computer Science and Engineering, vol. 1, no. 1, May 2010.

J. Zhang and E. G. Friedman, “Effect of shield insertion on reducing crosstalk noise between coupled interconnects,” Proceedings of the 2004 International Symposium on Circuits and Systems, vol. 2, pp. 529-532, 23-26 May 2004.

L. Qiao, “Shielding Methodologies for VLSI Interconnect,” Department of Electrical and Computer Engineering University of Rochester.

A. Maheshwari and W. Burleson, “Differential current-sensing for onchip interconnects,” IEEE Transactions on Very Large Scale Integration(VLSI) Systems, vol. 12, no. 12, pp. 1321-1329, December 2004.

N. Tzartzanis and W. W. Walker, “Differential current-mode sensing for efficient on-chip global signaling,” IEEE Journal of Solid-State Circuits, vol. 40, no. 11, pp. 2141-2147, November 2005.

M. Yamashina and H. Yamada, “MOS current mode logic MCMLcircuit for low-power GHz processors,” NEC Research and Development, vol. 36, no. 1, pp. 54-63, January 1995.

E. Seevinck, P. J. van Beers, and H. Ontrop, “Current-Mode Techniques for High-Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM’s,” IEEE Journal of Solid-State Circuits, pp. 525-536, 1991.

M. Dave, M. S. Baghini, and D. Sharma, “Current mode interconnect,” Department Of Electrical Engineering, Indian Institute Of Technology,Bombay, 2 December 2010.

M. W. Allam and M. I. Elmasry, “Dynamic current mode logic (Dy- CML): a new low-power high-performance logic style,” IEEE Journalof Solid-State Circuits, vol. 36, no. 3, pp. 550-558, March 2001.

L. Zhang, J. Wilson, R. Bashirullah, L. Luo, J. Xu, and P. Franzon, “Driver pre-emphasis techniques for on-chip global buses,” in Proceed-ings of the 2005 International Symposium on Low Power Electronicsand Design, 8-10 August 2005, pp. 186-191.

A. Maheshwari, “Circuit and Signaling Techniques for on-chip interconnects,” Ph.D. dissertation, Circuit and Signaling Techniques for on-chip interconnects, 2004.

V. Venkatraman and W. Burleson, “Robust Multi-Level Current-Mode On-Chip Interconnect Signaling in the Presence of Process Variations,” in Sixth International Symposium on Quality of Electronic Design, 2005.

V. Venkatraman and W. Burleson, “Impact of Process Variations on Multi-level Signaling for On- Chip Interconnects,” in Proceedings of the 18th International Conferenceon VLSI Design held jointly with 4th International Conference onEmbedded Systems Design, 2005.

E. Nigussie, T. Lehtonen, S. Tuuna, J. Plosila, and J. Isoaho, “Research Article: High-Performance Long NoC Link Using Delay-Insensitive Current-Mode Signaling,” Hindawi Publishing Corporation VLSI De-sign, p. 13, 2007, Article ID 46514.

I. Dhaou, V. Sundarajan, H. Tenhunen, and K. Parhi, “Energy efficient signaling in deep sub-micron ICs,” IEEE International Conference onSymposium on Quality Electronic Design, pp. 319-324, March 2001.

S. Srinivasan, “Circuit and signaling strategies for On-Chip Global Interconnects in DSM CMOS,” Master’s thesis, The Department of ECE, University of Massachusetts, Amherst, 2002, chapters 3-4.

H. C. Kirsch and E. Ku, “Multiple-bit current-mode data bus,” February 2001, US Patent Number 6184714.

H. C. Kirsch and E. Ku, “Multiple-bit current-mode data bus,” August 2001, US Patent Number 6255067.

T. Maekawa, S. Amakawa, H. Ito, N. Ishihara, and K. Masu, HighlyEnergy-Efficient On-Chip Pulsed-Current-Mode Transmission Line In-terconnect, Solid State Circuits Technologies. InTech, 2010, J. W. Swart (Ed.).

A. P. Jose, G. Patounakis, and K. L. Shepard, “Pulsed Current-Mode Signaling for Nearly Speed-of-Light Intrachip Communication,” IEEEJournal Of Solid-State Circuits, vol. 41, no. 4, April 2006.

R. Bashirullah, W. Liu, R. Cavin, and D. Edwards, “A Hybrid Current/ Voltage Mode On-Chip Signaling Scheme With Adaptive Bandwidth Capability,” IEEE Transactions on Very Large Scale Integration(VLSI) Systems, vol. 12, no. 8, August 2004.

J. M. Wilson, R. Bashirullah, and L. Zhang, “A 32-Gb/s On-Chip Bus with Driver Pre-Emphasis Signaling,” IEEE Transactions on Very LargeScale Intergration Systems, vol. 17, no. 9, p. 1267, September 2009.


Refbacks

  • There are currently no refbacks.


International Journal of Electronics and Telecommunications
is a periodical of Electronics and Telecommunications Committee
of Polish Academy of Sciences

eISSN: 2300-1933